首页
/ 探索未来验证新境界:Python版UVM库

探索未来验证新境界:Python版UVM库

2024-06-07 15:03:05作者:何举烈Damon
uvm-python
UVM 1.2 port to Python

Build Coverage Status

1、项目介绍

UVM(Universal Verification Methodology)Library for Python 是一个大胆的尝试,它将系统级验证语言SystemVerilog的UVM 1.2标准移植到了Python和Cocotb框架中。这个开源项目旨在打破语言限制,让Python程序员也能享受到UVM的强大功能。

2、项目技术分析

UVM-Python利用了Cocotb的优势,使得测试平台能够在任何模拟器上编写,无论免费或商业。虽然目前只在Icarus Verilog进行了测试,但计划将Verilator也纳入其中。项目实现了UVM的核心组件,包括TLM(Transaction Level Modeling)、Phasing、Sequences等,并提供了与原生SystemVerilog版本相似的API。

3、项目及技术应用场景

  • 硬件设计验证:在复杂的SoC设计中,UVM-Python可以构建高效、可重用的测试平台,实现对硬件行为的全方位验证。
  • 教育与研究:对于学习验证方法论的学生或者研究人员,UVM-Python提供了一种更易理解和编程的语言环境。
  • 嵌入式系统开发:通过Python实现的UVM,能够帮助工程师快速开发和测试嵌入式系统的底层硬件接口。

4、项目特点

  • 移植性:Python是多平台通用的,UVM-Python可以跨平台运行,适应各种硬件验证需求。
  • 类似API:遵循UVM 1.2规范,降低了从SystemVerilog迁移到Python的难度。
  • 兼容性:支持Icarus Verilog和Verilator等HDL模拟器,计划增加更多。
  • 易于使用:通过简单的pip安装即可启动,配合Makefile轻松运行示例。
  • 持续开发:项目处于积极开发状态,逐步完善并添加更多功能。

示例代码

# Makefile
TOPLEVEL_LANG ?= verilog
VERILOG_SOURCES ?= new_dut.sv
TOPLEVEL := new_dut
MODULE   ?= new_test
include $(shell cocotb-config --makefiles)/Makefile.inc
include $(shell cocotb-config --makefiles)/Makefile.sim
// new_dut.sv
module new_dut(input clk, input rst, output[7:0] byte_out);
    assign byte_out = 8'hAB;
endmodule: new_dut
# new_test.py
import cocotb
from cocotb.triggers import Timer
from uvm import *

class NewTest(UVMTest):
    @cocotb.coroutine
    def run_phase(self, phase):
        phase.raise_objection(self)
        yield Timer(100, "NS")
        phase.drop_objection(self)

uvm_component_utils(NewTest)

@cocotb.test()
def test_dut(dut):
    yield run_test('NewTest')

当前状态

UVM-Python已实现大部分核心特性,如TLM 1.0、组件、阶段以及部分序列功能,同时已初步实现了TLM 2.0。尽管如此,项目仍在不断发展中,期待你的参与共同完善。

探索UVM-Python的世界,让我们一起开拓新的硬件验证疆界!如果你有任何建议,或者愿意贡献自己的力量,欢迎访问项目仓库,提出问题或发起Pull Request:

GitHub项目链接

uvm-python
UVM 1.2 port to Python
热门项目推荐
相关项目推荐

项目优选

收起
CangjieCommunity
为仓颉编程语言开发者打造活跃、开放、高质量的社区环境
Markdown
669
0
RuoYi-Vue
🎉 基于SpringBoot,Spring Security,JWT,Vue & Element 的前后端分离权限管理系统,同时提供了 Vue3 的版本
Java
136
18
openHiTLS
旨在打造算法先进、性能卓越、高效敏捷、安全可靠的密码套件,通过轻量级、可剪裁的软件技术架构满足各行业不同场景的多样化要求,让密码技术应用更简单,同时探索后量子等先进算法创新实践,构建密码前沿技术底座!
C
12
7
redis-sdk
仓颉语言实现的Redis客户端SDK。已适配仓颉0.53.4 Beta版本。接口设计兼容jedis接口语义,支持RESP2和RESP3协议,支持发布订阅模式,支持哨兵模式和集群模式。
Cangjie
322
26
advanced-java
Advanced-Java是一个Java进阶教程,适合用于学习Java高级特性和编程技巧。特点:内容深入、实例丰富、适合进阶学习。
JavaScript
75.83 K
19.04 K
qwerty-learner
为键盘工作者设计的单词记忆与英语肌肉记忆锻炼软件 / Words learning and English muscle memory training software designed for keyboard workers
TSX
15.56 K
1.44 K
Jpom
🚀简而轻的低侵入式在线构建、自动部署、日常运维、项目监控软件
Java
1.41 K
292
Yi-Coder
Yi Coder 编程模型,小而强大的编程助手
HTML
30
5
easy-es
Elasticsearch 国内Top1 elasticsearch搜索引擎框架es ORM框架,索引全自动智能托管,如丝般顺滑,与Mybatis-plus一致的API,屏蔽语言差异,开发者只需要会MySQL语法即可完成对Es的相关操作,零额外学习成本.底层采用RestHighLevelClient,兼具低码,易用,易拓展等特性,支持es独有的高亮,权重,分词,Geo,嵌套,父子类型等功能...
Java
1.42 K
231
taro
开放式跨端跨框架解决方案,支持使用 React/Vue/Nerv 等框架来开发微信/京东/百度/支付宝/字节跳动/ QQ 小程序/H5/React Native 等应用。 https://taro.zone/
TypeScript
35.34 K
4.77 K